home *** CD-ROM | disk | FTP | other *** search
/ PC World 2006 February / PCWorld_2006-02_cd.bin / software / topware / pspad / pspad450inst_cz.exe / {app} / Syntax / Verilog.INI < prev    next >
INI File  |  2005-11-27  |  2KB  |  230 lines

  1. ;PSPad user HighLighter definition file
  2. [Settings]
  3. Name=Verilog
  4. HTMLGroup=0
  5. FileType=*.v,*.vlog
  6. CommentString=//
  7. SlashComment=1
  8. CComment=1
  9. SlashComment=1
  10. IndentChar=
  11. UnIndentChar=
  12. TabWidth=8
  13. CaseSensitive=1
  14. KeyWordChars=-_
  15. CodeExplorer=ftUnknown
  16. [KeyWords]
  17. always=
  18. and=
  19. assign=
  20. begin=
  21. buf=
  22. bufif0=
  23. bufif1=
  24. case=
  25. casex=
  26. casez=
  27. cmos=
  28. deassign=
  29. default=
  30. defparam=
  31. disable=
  32. edge=
  33. else=
  34. end=
  35. endattribute=
  36. endcase=
  37. endfunction=
  38. endmodule=
  39. endprimitive=
  40. endspecify=
  41. endtable=
  42. endtask=
  43. event=
  44. for=
  45. force=
  46. forever=
  47. fork=
  48. function=
  49. highz0=
  50. highz1=
  51. if=
  52. ifnone=
  53. initial=
  54. inout=
  55. input=
  56. integer=
  57. join=
  58. large=
  59. macromodule=
  60. medium=
  61. module=
  62. nand=
  63. negedge=
  64. nmos=
  65. nor=
  66. not=
  67. notif0=
  68. notif1=
  69. or=
  70. output=
  71. parameter=
  72. pmos=
  73. posedge=
  74. primitive=
  75. pull0=
  76. pull1=
  77. pulldown=
  78. pullup=
  79. rcmos=
  80. real=
  81. realtime=
  82. reg=
  83. release=
  84. repeat=
  85. rnmos=
  86. rpmos=
  87. rtran=
  88. rtranif0=
  89. rtranif1=
  90. scalared=
  91. signed=
  92. small=
  93. specify=
  94. specparam=
  95. strength=
  96. strong0=
  97. strong1=
  98. supply0=
  99. supply1=
  100. table=
  101. task=
  102. time=
  103. tran=
  104. tranif0=
  105. tranif1=
  106. tri=
  107. tri0=
  108. tri1=
  109. triand=
  110. trior=
  111. trireg=
  112. unsigned=
  113. vectored=
  114. wait=
  115. wand=
  116. weak0=
  117. weak1=
  118. while=
  119. wire=
  120. wor=
  121. xnor=
  122. xor=
  123. [ReservedWords]
  124. `celldefine=
  125. `default_nettype=
  126. `define=
  127. `else=
  128. `endcelldefine=
  129. `endif=
  130. `ifdef=
  131. `include=
  132. `nounconnected_drive=
  133. `resetall=
  134. `timescale=
  135. `unconnected_drive=
  136. `undef=
  137. [KeyWords2]
  138. $and=
  139. $array=
  140. $async=
  141. $bitstoreal=
  142. $comment=
  143. $date=
  144. $display=
  145. $displayb=
  146. $displayh=
  147. $displayo=
  148. $dist_erlang=
  149. $dist_exponential=
  150. $dist_chi_square=
  151. $dist_nornal=
  152. $dist_poisson=
  153. $dist_t=
  154. $dist_uniform=
  155. $dumpall=
  156. $dumpoff=
  157. $dumpon=
  158. $dumpvars=
  159. $enddefinitions=
  160. $fclose=
  161. $fdisplay=
  162. $fdisplayb=
  163. $fdisplayh=
  164. $fdisplayo=
  165. $finish=
  166. $fmonitor=
  167. $fmonitorb=
  168. $fmonitorh=
  169. $fmonitoro=
  170. $fopen=
  171. $fstrobe=
  172. $fstrobeb=
  173. $fstrobeh=
  174. $fstrobeo=
  175. $fwrite=
  176. $fwriteb=
  177. $fwriteh=
  178. $fwriteo=
  179. $hold=
  180. $itor=
  181. $monitor=
  182. $monitorb=
  183. $monitorh=
  184. $monitoro=
  185. $monitoroff=
  186. $monitoron=
  187. $nand=
  188. $nochange=
  189. $nor=
  190. $or=
  191. $period=
  192. $plane=
  193. $printtimescale=
  194. $q_add=
  195. $q_exam=
  196. $q_full=
  197. $q_initialize=
  198. $q_random=
  199. $q_remove=
  200. $random=
  201. $readmemb=
  202. $readmemh=
  203. $readtobits=
  204. $realtime=
  205. $recovery=
  206. $rtoi=
  207. $scope=
  208. $setup=
  209. $setuphold=
  210. $skew=
  211. $stime=
  212. $stop=
  213. $strobe=
  214. $strobeb=
  215. $strobeh=
  216. $strobeo=
  217. $sync=
  218. $time=
  219. $timeformat=
  220. $timescale=
  221. $upscope=
  222. $var=
  223. $version=
  224. $width=
  225. $write=
  226. $writeb=
  227. $writeh=
  228. $writeo=
  229. [KeyWords3]
  230.